VHDL: VHSIC Hardware Description Language
VHDL is the result of a US government project (the VHSIC project) started around 1980.
IEEE standard 1076.1 since 1987, update 1993.

Mainly VHDL is a hardware description language, used to describe to structure of digital ciruits.
VHDL has a very large descriptive power it supports different aspects of hardware description:
  • Structural description: creating a circuit by connecting different basic logic gates. Hierarchical design, making more complex components out of a combination of more basic ones, is supported, too.
  • Behavioral description: describing the logic behavior of a circuit or components by some small programs. These vary from traditional programs a little, because they are more simulation-orientated; they describe how a component reacts to certain events etc.
  • Generic description: This allows you to describe components with parameters and define their structure or behavoir as function of these parameters, e.g. a N-bit adder (instead of defining adders for every word length you use) or the delay of a component as a parameter.
It also supports the most important constructs of a decent programming language, like pointers, arrays, records etc. Together with the generic description this can be used to do really clever things like defining a generic N-bit adder with optimal delay/space tradeoff.
All these aspects can be mixed, but not every software might support all.

VHDL is really the state-of-art today.